• Daum
  • |
  • 카페
  • |
  • 테이블
  • |
  • 메일
  • |
  • 카페앱 설치
 
카페정보
카페 프로필 이미지
VerilogCafe
 
 
 
 

회원 알림

 

회원 알림

다음
 
  • 방문
  • 가입
    1. convert
    2. 공부하러갑시다
    3. 디모
    4. 쿼터스
    5. 김현권
    1. proto
    2. 용꼬리
    3. 루키요
    4. 마에
    5. 낑낑잉
 
카페 게시글
베릴로그 Q&A 게시판 CLCD 모듈 구조
밝은미소 추천 0 조회 151 14.02.26 18:58 댓글 18
게시글 본문내용
 
다음검색
댓글
  • 14.02.27 11:49

    첫댓글 ERROR:Cpld:1244 - Unexpected Exception 오류가 발생하네요.
    이상한 건 Error는 0으로 나옵니다.
    bin파일은 만들어 지네요.
    Xilinx에 문의해야 할 Error 메세지인 것 같습니다. 내부 로직 문제보다 SW툴의 오류 이유를 알아야 할듯 합니다.
    ucf파일과 테스트벤치는 수정안되었고 character_LCD.v파일 중에 조합회로 합성을 순차회로 합성으로 변경하였습니다.

  • 작성자 14.02.27 12:00

    네~ 한번 카페지기님 코드 확인해 볼께요~ 감사합니다.
    죄송하지만, 아래 vhdl 코드를
    process(reset,clk_1khz,new_value)
    begin
    if(reset = '0') then
    start_stop <= '0';
    elsif rising_edge(clk_1khz) then
    old_value <= new_value;
    if(old_value = '1') and (new_value = '0') then
    start_stop <= not start_stop;
    end if;
    end if;
    end process;
    =====아래처럼 바꾸면 되나요?
    always @(*)
    begin
    if(reset == 0)
    start_stop <= 0;
    else begin old_value <= new_value;
    if ((old_value == 1) & (new_value == 0)) begin
    start_stop <= !start_stop;
    end
    end
    end

  • 14.02.27 12:51

    위의 코드는 순차회로고 님이 만든코드는 조합회로라 다른회로입니다 process문과 always문은 유사하지만 블럭과 이벤트 목록에 따라 회로합성이다릅니다~

  • 작성자 14.02.27 13:21

    아 그렇군요~~~^^;
    다름이 아니라.. 주신 것으로 실행했는데, lcd와 7세그먼트는 들어오는데.. 시간 카운터가 안되어서요~ 그래서.. 위 코드 변경에 문제가 있는것 같아서 문의 드렸었네요~~
    암튼 감사합니다~^^

  • 작성자 14.02.27 13:31

    제가 reset 핀을 모두 negedge로 해놓았는데.. 파일비교 (오른쪽으로 변경)한것과 같이.. 하나만 posedge로 되어 있어서 변경했더니, 잘 되네요~~ 감사합니다.^^

  • 작성자 14.02.27 13:34

    화면에 안보이네요~~^^; 즉,
    ==============================================================
    always @(posedge reset or posedge clk_100hz)
    begin
    if(reset == 1'b1) begin
    ==================================================== 을..
    always @(negedge reset or posedge clk_100hz)
    begin
    if(reset == 1'b0) begin
    ============================================================= 이렇게 변경했습니다.

  • 14.02.27 13:40

    reset신호를 모서리이벤트를 일치시켜야지요 한곳은 1로하고 다른곳은0으로 하면 계속 리셋조건에 걸립니다

  • 작성자 14.02.27 13:42

    네 맞아요~~ 제가 이부분을 잘못했어요~^^;;
    조언해주셔서 감사합니다~^^

  • 14.02.27 13:42

    무지 재미난 코딩스탈입니다
    ^.~

  • 작성자 14.02.27 13:54

    ㅎㅎㅎ 다음번엔... uart 해볼려고하는데.. 막히면 또.. 여쭙겠습니다~~^^;

  • 14.02.27 13:57

    리셋이1일때 동작하면 잡음에 의해 리셋걸릴 수 있습니다~

  • 작성자 14.02.27 14:04

    네네 주의할께요~~^^

  • 14.02.27 14:06

    유용한 자료네요. 감사^^

  • 14.02.27 14:43

    위의 레지스터 표그리기는 어떤 소프트웨어를 이용한 건가요. 워드 혹은 아래한글

  • 작성자 14.02.27 14:55

    MS Word 입니다~~

  • 14.02.27 15:32

    글쿤요~~~ 워드 쓴지가 오래되어서 ㅋㅋㅋㅋㅋ

  • 14.02.27 21:56

    start_stop을 순차회로로 만드건 sw2신호의 bouncing신호를 무시하기위함입니다

  • 작성자 14.02.27 21:59

    네네 푸쉬버튼시에 디바운스 위한 것은 알겠어요~~

최신목록