안녕하세요~~
vhdl에서 bufg 를 쓰이는 코드를 봐서 verilog에서는 bufg를 사용하는지? , 어떤때 사용되는지 문의 드립니다.
이코드를 보면 단순하게 ..클럭 입력을 받고 bufg를 지나서 클럭 출력에 쓰이는 것 같은데.. 맞나요?
==================================================================================
component bufg
port( i : in std_logic;
o : out std_logic);
end component;
--component seven_segment
-- port ( clk_1khz_bufg : IN std_logic;
-- reset : IN std_logic;
-- dipsw_value : IN std_logic_vector(7 downto 0);
-- seg_com : OUT std_logic_VECTOR(3 downto 0);
-- seg_data : OUT std_logic_VECTOR(7 downto 0));
--end component;
signal clk_10khz : std_logic;
signal cnt_10khz : integer range 0 to 2500;
signal clk_10khz_bufg : std_logic;
signal compare_cnt : std_logic_vector(7 downto 0);
signal dipsw_value : std_logic_vector(7 downto 0);
signal pwm_value : std_logic_vector(7 downto 0);
signal reset : std_logic;
signal duty_cnt : integer range 0 to 200;
signal period_cnt : integer range 0 to 200;
signal onoffFlag : std_logic := '0';
signal cnt : integer range 0 to 1000;
begin
bufg0 : bufg
port map(
i => clk_10khz,
o => clk_10khz_bufg);
==================================================================================
첫댓글 bufg는 표준 verilog 프리미티브가 아닌 vendor(xilinx)에서 만든 프리미티브입니다.
자일링스 기술문서를 참조해보세요.
아~~ 그렇군요~~ 넵.. 답변 감사합니다~~^^